八人表决电路设计原理?

一、八人表决电路设计原理?

总体设计:每人2个开关(同意、签到,签到不同意即为反对),输出3个灯(通过、否决、再议)。

实现方法:

1、组合电路:16变量3输出组合逻辑设计,计算量太大,不嫌烦就慢慢算。

2、时序电路:两个8路可预置移位寄存器(通过、签到),锁存投票状态后移位输出至2个计数器,通过数大于3亮通过灯,签到数小于6亮再议灯,亮灯都不亮就亮否决灯。

3、逻辑阵列:用pld、gal都行,16路输入3路输出,编好逻辑写进去就行,就是不知道老师会不会咬你。

二、用与非门设计一个四变量的多数表决器?

(1)真值表

ABCD F

0000 0

0001 0

0010 0

0011 0

0100 0

0101 0

0110 0

0111 1

1000 0

1001 0

1010 0

1011 1

1100 0

1101 1

1110 1

1111 1

(2)逻辑函数

F=ABCD+ABCD'+ABC'D+AB'CD+A'BCD=ABC+ABD+ACD+BCD

(3)与非形式

F=[(ABC)'(ABD)'(ACD)'(BCD)']'={[AB(C'D')']'[CD(A'B')']'}’

(4)

三、三人表决电路怎么接线

AB、BC、AC分别接入3个与非门,3个输出分别接3个发光二极管的负极,3个正极与下一级与非门的2个输入端接在一起,输出Y=AB+BC+AC。加一个电阻就是实用电路,发光管正极用一个500Ω电阻接+5V,任一发光管亮就是表决通过。

四、三人表决电路的作用?

本关需要你根据所学的组合逻辑及数字电路的知识完成三人表决电路的设计,实现少数服从多数的表决规则,根据逻辑真值表和逻辑表达式完成表决功能。

运用Verilog HDL进行设计,完善三人表决电路的功能描述风格代码,具备组合逻辑电路的设计仿真和测试的能力。

五、三变量表决电路实验原理?

三变量表决器模拟电工作原理:三变量 A、B、C,当其中2个及以上的变量=1,就代表多数,则 F = AB+AC+BC; 因采用与非门,则 F= [(AB)'(AC)'(BC)' ] '; 即,用三个2输入与非门接入三个变量,然后再将其输出端连接到一个3输入与非门

六、三变量多数表决器什么意思?

多数表决器原理相当于投票,多数胜于少数。一般即3局2胜,5局3胜,以此类推。

七、n人表决器如何设计?

library ieee; use ieee.std_logic_1164.all; entity qrbjq is port( a: in std_logic_vector(6 downto 0)

; --7个人 num: buffer integer range 0 to 7; --表决通过人数 co: out std_logic)

; --是否通过信号 end; architecture art of qrbjq is begin process(a) variable b: integer range 0 to 7; --定义变量b begin b:=0; for n in 0 to 6 loop if a(n)='1' then b:=b+1; --统计通过人数 end if; end loop; num<=b; if num>=4 then co<='1'

; --4人以上同意,则通过 else co<='0'; end if; end process; end art;

八、四人表决电路的逻辑功能

逻辑功能:当有三人或四人表决时则表决通过

九、设计题:首先用门电路设计一个三输入表决电路,要求列出真值表、写出表达式并画出逻辑电路图,然后?

当输入的三个变量A、B、C中1的个数为奇数时,输出为1;否则为0。

1、真值表

2、逻辑表达式

F=A'B'C+A'BC'+AB'C'+ABC(A'表示A非)

十、移相器设计电路?

可在0~-180度范围内变化的-90度移相电路 ,

电路的功能:

“具有平坦频率特性的±90度的移相电路”的移相电路只能在0~+180度范围内移相,可使用CO与RO位置互换的-90度的移相电路。

电路的工作原理

基本工作原理与“具有平坦频率特性的±90度的移相电路”相同,只是改变了相位的极性。这里只说明相位可变范围的计算方法。FO=1KHZ,φ=-60~-120度,CO=0.01UF时,RO=15.92K,若RO可变,相位角φ=-2TAN的-1次方(RX/R0),当RX=RO时φ为90度。

如果令A=TAN(φ/2),那么当φ=-60度时,A=0.577,φ=-120度时,A=1.732,因此,RX的最小值RMIN为9.147K(RMIN≤R0*A(60)=9.17K),RX的最大值为27.55K(RMAX≥R0*A(120)=27.55K)。若用一个9.1K的电阻和一个20K的可变电阻构成RX,实际的相位变化范围为:

由此可知,这一相位变化范围可以满足使用要求。实际上电容器C0会有误差,可变电阻可变范围该稍大一些。

上一篇:下一篇:锗管功放优缺点?
下一篇:上一篇:返回栏目